Applied Materials: A Fairly Valued Compounding Machine

In this article:

Applied Materials Inc. (NASDAQ:AMAT) is one of the largest and most dominant semiconductor equipment manufacturers in the world. The company's products and services are critical to the production of semiconductor chips. By relentlessly focusing on innovation, technology and customer needs, it has been a market leader in the semiconductor equipment industry for decades.

I think Applied Materials possesses many of the characteristics of a great business, as evidenced by the GF Score of 94 out of 100.

Applied Materials: A Fairly Valued Compounding Machine
Applied Materials: A Fairly Valued Compounding Machine

Going forward, Applied Materials will greatly benefit from artificial intelligence boom, the technological advancement in semiconductor chips and the increasing penetration of semiconductor chips in electrical vehicles and green energy systems. The stock looks fairly valued currently, but in the long term will continue to be a compounding machine.

Business overview

Applied Materials has three reportable segments: Semiconductor Systems, Applied Global Services and Display and Adjacent Markets. According to the company's most recent annual report, the Semiconductor Systems segment accounted for 74% of total sales, while Applied Global Services accounted for 22%.

Applied Materials: A Fairly Valued Compounding Machine
Applied Materials: A Fairly Valued Compounding Machine

The Semiconductor Systems segment generates revenue from sales of equipment used to manufacture semiconductor chips. The following chart from the company's investor relations deck provides a snapshot of its product portfolio.

Applied Materials: A Fairly Valued Compounding Machine
Applied Materials: A Fairly Valued Compounding Machine

The demand for Applied Materials' equipment products is ultimately driven by the demand for electronic products such as smartphones, tablets and PCs, AI chips, data centers and the increasing penetration of semiconductor ships in electric vehicles and green energy power generation and storage systems. As the demand for downstream products is cyclical, revenue for the Semiconductor Systems segment shows high cyclicality as well.

Compared to the cyclicality of the Semiconductor Systems segment, the revenue for the Applied Global Services segment is much more stable as service revenues are recurring revenues by nature. According to the company's most recent annual report, the Applied Global Services segment provides integrated solutions to optimize equipment and fab performance and productivity, including spares, upgrades, services, certain remanufactured earlier generation equipment and factory automation software for semiconductor, display and solar products. Demand for Applied Global Services' solutions are driven by our large and growing installed base of manufacturing systems, and customers' needs to shorten ramp times, improve device performance and yield, and optimize factory output and operating costs.

The Display and Adjacent Markets segment is the smallest segment in terms of revenue contribution. Segment revenue is also very cyclical because the demand for OLED products is cyclical .

Competitive positioning

As described above, Applied Materials has a product portfolio that spans across the fabrication process of semiconductor chips. On the company's investor relations website is a very good report on the worldwide wafer fabrication equipment market. The report includes details of the company's competitive position in each niche market.

In summary, the only process in which Applied Materials does not have a product offering is lithography, which is dominated by ASML Holdings (NASDAQ:ASML). In the deposition market, there are three niche markets, PVD, CVD and ALD. In the PVD market, there are only two companies in the space, Applied Materials and Japan's Ulvac. Applied Materials dominates this market. In the CVD market, there are three major players, Applied Materials, Lam Research (NASDAQ:LRCX) and Tokyo Electron (TOELY). Applied Materials and Lam Research each dominates different niches within the CVD market, while Tokyo Electron is number three in the space. In etching, there are four major players. Lam Research has a dominant position, followed by Tokyo Electron and Applied Materials. Hitachi also has a small presence in the etching market. In process control, KLA-Tencor (NASDAQ:KLAC) dominates. Applied Materials and ASML both have some presence in the market, but their market shares are not meaningful in comparison.

Financial analysis and valuation

According to GuruFocus, Applied Materials has a profitability rank of 10 out of 10. It's noteworthy that in terms of profitability metrics, the company leads the peer group in return on assets and ranks third in net margin. Its revenue growth has outperformed its U.S peers such as Lam Research and KLA-Tencor, but lagged some of its international peers such as ASML Holdings, ASM International (XAMS:ASM), Lasertec (TSE:6920) and Naura (SZSE:002371). The company's earnings per share growth has outpaced its revenue growth, which is an indication of improvement in operating efficiency.

Applied Materials: A Fairly Valued Compounding Machine
Applied Materials: A Fairly Valued Compounding Machine

In terms of financial strength, Applied Materials has a score of 8 out of 10. The company's balance sheet is very healthy with a cash-to-debt ratio of 1.37 and debt-to-equity ratio of 0.35. Further, interest coverage of 32.60 times is more than sufficient.

Applied Materials: A Fairly Valued Compounding Machine
Applied Materials: A Fairly Valued Compounding Machine

From the above analysis, it is quite clear that Applied Materials is a great business. However, the stock's valuation has probably reflected much optimism, indicated by its GF Value rank of 3 out of 10. Historically, the stock trades between 10 and 34 times of earnings with a median of 18.50 times. Currently the stock trades at 21.20 times earnings. It is not extremely expensive, but not very cheap either.

Risk discussion

For Applied Materials, I think the biggest risk comes from China. The company has benefited from the country's huge infrastructure investment in the semiconductor industry. At the peak, China accounted for more than 45% of the company's business, according to Applied Materials Chief Financial Officer Brice Hill, who made remarks during the 2024 Morgan Stanley Technology, Media & Telecom Conference earlier this year.

However, the Chinese government has ramped up support for domestic players such as Naura Technology and AMEC, both of which have made quite impressive progress on their own semiconductor equipment products in deposition and etching. The combination of government support and technological advancements by domestic players will inevitably lead to Applied Materials' market share loss in China in the next three to fie years. Whether the company can make up this loss of business from China from other parts of the world remains to be seen.

Conclusion

Applied Materials has been a great compounding machine for many decades. I believe the company will continue to benefit from the secular tailwinds driven by artificial intelligence and the green energy transition. In the long term, the company will still be one of the most dominant players in the semiconductor equipment space. At the same time, it is facing increasing competition in China. At the current valuation, Applied Materials is neither expensive nor cheap. Patient value investors can wait for a better price.

This article first appeared on GuruFocus.